Pemodelan adalah satu proses awal dalam pengembangan suatu aplikasi atau produk. Tahap ini dilakukan untuk meminimalkan kesalahan pada produk akhir. Salah satu metode pemodelan yang berorientasi objek, yang banyak digunakan adalah pemodelan UML (Unified modeling language). Dalam UML suatu sistem dipandang sebagai kumpulan objek yang memiliki atribut dan method. SystemC adalah bahasa perancangan perangkat keras yang berbasis C++. SystemC merupakan sebuah library yang mendefinisikan tipe-tipe komponen perangkat keras. Dalam pemodelan bersama perangkat keras dan perangkat lunak, UML dan systemC memiliki kemampuan yang sama. Pada paper ini dilakukan analisis proses transformasi dari pemodelan berorientasi objek dengan UML dan implementasi dengan menggunakan systemC. Hasil penelitian menunjukan bahwa proses transformasi UML-systemC dapat dilakukan karena keduanya memiliki nature yang sama sebagai lingkungan yang dapat merancang bersama hardware dan software. Perangkat yang digunakan untuk penelitian ini adalah rational rose dan systemC.